Применение цифровой схемотехники. Учебное пособие: Цифровая схемотехника

ЛЕКЦИИ

По дисциплине

"Организация и функционирование ЭВМ"

для учащихся

специальности 2-40 01 01«Программное обеспечение информационных технологий»

Ошмяны 2010 г.


АННОТАЦИЯ

На лекционный материал по дисциплине "Организация и функционирование ЭВМ" для учащихся специальности 2-40 01 01 «Программное обеспечение информационных технологий», разработанный преподавателем УО «Ошмянский государственный аграрно-экономический колледж» М.Г. Шаткевич.

Актуальность методического материала, его значение. Данный набор лекций представляет собой комплекс материалов, необходимых для качественной организации работы учащихся на практических занятиях по освоению дисциплины " Организация и функционирование ЭВМ" для учащихся специальности 2-40 01 01 «Программное обеспечение информационных технологий»,

Лекции разработаны в строгом соответствии с действующим в УО «Ошмянский государственный аграрно-экономический колледж» Положением об учебно-методических комплексах дисциплин. В состав комплекса вошли лекции по всем разделам дисциплины «Организация и функционирование ЭВМ».

Возможность практического применения. Комплекс лекций может быть рекомендован преподавателям при подготовке и проведении занятий по дисциплине "Организация и функционирование ЭВМ" для учащихся специальности 2-40 01 01 «Программное обеспечение информационных технологий», а также учащимся дневной формы обучения при самостоятельном изучении.

Заключение цикловой комиссии. Комплекс лекций рассмотрен на заседании цикловой комиссии юридических дисциплин и информационных технологий, рекомендован к внутриколледжскому использованию (протокол №___ от «____»______________________20___г.).


Введение. 4

Представление информации в ЭВМ... 5

Коды с выявлением ошибок. 9

Коды с исправлением ошибок. 10

Раздел 2. Алгебра логики и теоретические основы синтеза цифровых устройств . 11



Элементы математической логики. 11

Формы логических функций и их использование для синтеза логических схем.. 13

Логические элементы и схемы. Классификация логических устройств. 15

Методы минимизации логических функций. 17

Раздел 3. Синтез комбинационных схем .. 18

Этапы построения логической схемы.. 18

Мультиплексоры и демультиплексоры.. 20

Дешифраторы и шифраторы.. 22

Компараторы, сумматоры.. 24

Раздел 4. Триггерные элементы цифровых устройств . 25

Классификация триггеров и их общие характеристики. Асинхронный RS-триггер и его разновидности 25

Асинхронные триггеры с одним входом.. 27

Cинхронные триггеры.. 29

Раздел 5. Синтез цифровых автоматов . 31

Регистры. Регистр сдвига. 31

Счетчики по mod M. Реверсивные счетчики. Синтез последовательных схем.. 33

Раздел 6. Современное состояние и перспективы развития элементной базы и средств вычислительной техники . 35


Введение

Курс Организация и функционирование ЭВМ построен на фундаментальном утверждении о том, что: Компьютер можно рассматривать как иерархию структурных уровней организации.

Это утверждение в равной мере относится как к аппаратной организации, так и к структуре и организации программного обеспечения. На верхнем уровне иерархии находятся проблемно ориентированные программные средства, такие, как Mathcad (для решения математических задач), Visual Basic для офисных приложений, уровнем ниже расположены процедурно ориентированные языки (Си/Си++, Паскаль)..., самый нижний уровень это уровень физической реализации цифровых логических элементов.

Основная цель курса: знакомство с уровнем физической реализации и с некоторыми теоретическими основами, используемыми для описания нижних уровней организации:

ü принцип цифрового представления данных в технических устройствах;

ü основы алгебры логики и ее использование для описания функционирования цифровых устройств;

ü системы счисления;

ü некоторые основные понятия цифровой схемотехники: комбинационные логические устройства и устройства с памятью (триггеры, регистры, счетчики).

Значительная часть изучаемых вопросов носит прикладной характер, и существенно помогает в обоснованном выборе подходящих приемов в конкретных ситуациях, позволяя иной раз существенно повысить эксплуатационные характеристики программных модулей (уменьшить объем потребной памяти, либо время выполнения).

Целью преподавания дисциплины «Организация и функционирование ЭВМ» является изучение физических основ построения и функционирования современных средств вычислительной техники, принципов построения и работы элементов, узлов и устройств ЭВМ. Дисциплина включает сведения об арифметических, логических и схемотехнических основах построения ЭВМ и является базовой для последующего изучения дисциплины «Микропроцессорная техника».

Дисциплина базируется на знаниях, полученных учащимися при изучении дисциплин «Математика», «Информатика».

Для изучения учебного материала предусматриваются практические и лабораторные работы. Программа дисциплины рассчитана на 68 часов, из них 34 часа – лекции, 24 часа – практические занятия, 10 часов – лабораторные занятия.

Список литературы

1. Бабич Н. П., Жуков И. А. Компьютерная схемотехника. Методы построения и

2. проектирования: Учебное пособие. – К.: «МК-Пресс», 2004

3. Жмакин А. П. Архитектура ЭВМ. - СПб.: БХВ-Петербург, 2006

4. Лысиков Б.Г. Цифровая и вычислительная техника.- Мн.: УП Экоперспектива, 2002

5. Новиков Ю. В. Основы цифровой схемотехники. Базовые элементы и схемы. Методы проектирования. М.: Мир, 2001

6. Угрюмов Е.П. Цифровая схемотехника.- СПб.: БХВ-Петербург, 2004

7. Бойко В. И. Схемотехника электронных схем. Микропроцессоры и микроконтроллеры. - СПб.: БХВ-Петербург, 2004

8. Цилькер Б. Я., Орлов С.А. Организация ЭВМ и систем. – СПб.: Питер, 2004

9. Информатика: учебник/ Б.В. Соболь – Ростов н/Д: Феникс, 2006


Раздел 1. Математические основы цифровой схемотехники


Министерство Российской Федерации

Томский политехнический университет

__________________________________________________________________

Е.Л. Собакин

ЦИФРОВАЯ СХЕМОТЕХНИКА

Учебное пособие

УДК 681.325.6

Собакин Е.Л. Цифровая схемотехника . Учеб. пособие. Ч.I. Томск: Изд. ТПУ, 2002. - 160с.

В пособии изложены основные вопросы курса лекций для студентов специальности 210100 Управление и информатика в технических системах. Пособие подготовлено на кафедре автоматики и компьютерных систем ТПУ, соответствует учебной программе дисциплины и предназначено для студентов института дистанционного образования.

Печатается по постановлению Редакционно-издательского Совета Томского политехнического университета

Рецензенты:

В.М. Дмитриев профессор, доктор технических наук, заведующий кафедрой теоретических основ электротехники Томского университета систем управления и радиоэлектроники;

С.И. Королёв директор ТОО НПО «Спецтехаудитсервис»,

кандидат технических наук, старший научный сотрудник.

Темплан 2002

Томский политехнический университет, 2002

Введение

Данное учебное пособие предназначено для студентов высших учебных заведений, обучающихся по специальности 210100 "Информатика и управление в технических системах". Оно составлено на основе курса лекций, прочитанных автором в Томском политехническом университете в течение ряда лет, и посвящено систематическому изложению методов формализованного построения устройств цифровой техники на микросхемах широкого применения.

Дисциплину "Цифровая схемотехника" следует рассматривать как продолжение курса «Электроника», который студенты должны освоить предварительно, так как требуются знания элементной базы аналоговых электронных устройств.

Большинство современных систем автоматики, вычислительные системы, системы передачи и обработки информации выполняются на устройствах цифровой техники либо полностью, либо частично. Поэтому знание принципов применения цифровых устройств и построения на их основе систем различного назначения имеет актуальное значение и большую практическую ценность как в инженерной деятельности, так и при исследованиях методологического характера.

Материал пособия условно можно разделить на три части: 1) Основы микроэлектроники; 2) Комбинационные устройства цифровой техники; 3) Последовательностные логические устройства цифровой техники.

Приступая к освоению курса, следует изучать материал в порядке перечисления указанных частей, так как последующий материал основан на знании предыдущего, и изменение последовательности может привести к затруднениям в его усвоении. Это усугубляется ещё и тем, что в иных учебных пособиях и специальной технической литературе используются различные термины и понятия для пояснения одних и тех же явлений, процессов, выполняемых преобразований и т.д. Различие же в используемых понятиях или их некорректность ведёт к непониманию сущности излагаемого материала и, как следствие, возникновению трудностей в его усвоении.

Первые два из указанных разделов вошли в первую часть настоящего пособия (Ч1). Третьему разделу посвящено отдельное пособие.

В1 . Применение цифровых устройств

В настоящее время, в связи с созданием и широким внедрением в инженерную практику микропроцессорных устройств и систем, не ослабевает и вновь стимулируется интерес к цифровым методам обработки и передачи информации. Названные методы, в свою очередь, придают системам ряд положительных свойств и качеств. Повышается верность передаваемой информации, достигается высокая скорость и производительность систем обработки информации, обеспечивается приемлемая их стоимость, высокая надёжность, малое потребление энергии и т. д.

Решаемые этими системами задачи весьма разнообразны и предопределяют функции устройств, образующих конкретную систему. Поэтому устройства и их функции целесообразно рассматривать именно в свете тех задач, которые решаются системами и, в частности, тех подзадач, которые выполняются отдельными устройствами либо блоками.

Основными типовыми задачами , возникающими при автоматическом или автоматизированном управлении и контроле производственными или иными процессами, являются:

сбор информации (её получение);

преобразование информации (масштабирование, нормализация, фильтрация, кодирование и т. д.);

передача-приём информации;

обработка и использование информации;

хранение информации.

В зависимости от целевого назначения и основных функций различают:

Системы автоматического (либо автоматизированного) управления и контроля.

Системы передачи информации.

Системы обработки информации (вычислительные системы).

Чтобы уяснить взаимосвязь указанных задач, место и роль электронных цифровых устройств, используемых в названных системах, рассмотрим обобщённые структурные схемы этих систем и функциональное назначение их составных частей.

В1.1. Системы автоматического управления

Управлять означает знать состояние (положение) управляемого объекта и в соответствии с заданным алгоритмом (алгоритмом управления ) воздействовать на объект, стремясь устранить возникающие отклонения.

Поэтому управление в общем случае связано с выполнением следующих действий:

получение информации о состоянии объекта;

сопоставление полученной информации с заданной информацией о состоянии объекта;

формирование управляющих сигналов (воздействий);

воздействие на объект с целью приведения его в требуемое состояние.

В соответствии с перечисленными действиями в систему автоматического управления (САУ) в общем случае должны входить информационно-измерительное устройство, устройство управления и исполнительное устройство (рис. В1).

Информационно-измерительное устройство (ИИУ) получает информацию об объекте управления (ОУ) и предварительно её обрабатывает. Получение информации заключается в формировании первичных сигналов, значения которых пропорциональны значениям параметров, характеризующих состояние ОУ. Под объектом можно понимать как отдельную производственную установку, так и производственный процесс в целом. А под параметрами "выходные координаты" объекта. Это могут быть, например, значения температуры, давления, расхода материалов или энергии и тому подобное. Поскольку большинство таких координат-параметров представлены в аналоговой форме и характеризуются бесконечным множеством значений, то сигналы должны быть нормализованы по своим параметрам, масштабированы и иметь унифицированную форму.

Поэтому в ИИУ должны быть первичные измерительные преобразователи и датчики, аналого-цифровые преобразователи и другие функциональные узлы, с помощью которых выполняются следующие преобразования:

значений физических величин в унифицированные аналоговые сигналы постоянного или переменного тока;

масштабирование или нормирование сигналов по уровню и форме;

преобразование аналоговых сигналов в дискретные (цифровые) сигналы;

кодирование сигналов и некоторые другие преобразования.

Сигналы о текущих значениях координат поступают на устройство управления (УУ). В функции этого устройства входит сравнение текущих значений с заданными значениями координат и формирование по результатам сравнения сигналов управления (управляющих сигналов). Заданные значения могут вводиться человеком-оператором либо автоматически программно. В первом случае в качестве УУ может использоваться автоматический регулятор или несколько автоматических регуляторов, уставки которым определяет и задаёт человек. Во втором случае УУ представляет собой программный автомат мини- либо микроЭВМ и роль человека-оператора сводится к вводу программы и первоначальному пуску системы.

Для выполнения указанных функций от УУ требуется выполнять арифметические и логические операции по вычислению значений и сравнению сигналов, кратковременному и долговременному запоминанию (хранению) сигналов и формированию управляющих унифицированных сигналов. Последние содержат информацию, на основе которой далее формируются воздействия на объект управления (управляющие воздействия), приводящие его в требуемое состояние.

Непосредственно воздействия требуемой физической природы формирует исполнительное устройство (ИУ). Оно преобразует управляющие сигналы, например, в виде напряжения постоянного или импульсного тока, в скорость вращения исполнительного двигателя, в механическое перемещение клапана на паропроводе и так далее. Для выполнения этих преобразований потребуются: преобразователи цифровых сигналов в аналоговые; преобразователи электрических сигналов в неэлектрические; усилительные устройства и т.д. При этом в качестве промежуточных могут потребоваться преобразователи кодов цифровых сигналов, либо формы представления сигналов. Например, кодов двоичных чисел в пропорциональное количество импульсов, однофазных сигналов в многофазные, используемые для управления шаговыми двигателями и т. д.

Под действием возмущающих воздействий объект выходит из нормального состояния (режима), а САУ возвращает его в требуемый (нормальный) режим работы. Процесс управления протекает в реальном масштабе времени, то есть со скоростью, определяемой характером физических процессов. Если управляющие воздействия запаздывают во времени либо чрезмерны, то может возникнуть неустойчивый режим работы системы, при котором координаты объекта могут принять недопустимые значения и либо сам объект, либо отдельные устройства системы выйдут из строя возникнет аварийный режим. Поэтому в теории САУ основными являются проблемы обеспечения устойчивости и точности управления.

Большинство из перечисленных преобразований могут быть выполнены с помощью цифровых микроэлектронных устройств. Полностью цифровым является УУ, когда оно строится на основе управляющих микроЭВМ либо на цифровых микросхемах.

На цифровых микросхемах выполняются цифровые датчики физических величин, а так же частично аналого-цифровые и цифро-аналоговые преобразователи сигналов.

В1.2. Системы передачи информации (СПИ)

При увеличении расстояния между ИИУ и УУ (рис. В1), а также между УУ и ИУ, возникает задача передачи информации . Необходимость передачи информации на значительные расстояния возникает не только в пространственно развитых системах автоматического управления и контроля, но и в системах других видов связи (телеграфной, телефонной, телефаксной и др.). Кроме того, необходимость передачи информации возникает в вычислительных системах, системах передачи данных, телемеханических системах и т. д. Эта задача осложняется тем, что в процессе передачи по линиям связи искажаются параметры сигналов и это, в свою очередь, может привести к искажению информации к снижению её верности (вероятности правильного её приёма). Искажение же сигналов обусловлено действием помех , возникающих в линиях связи . Помехи, как правило, имеют случайный характер и по своим параметрам могут и не отличаться от параметров сигналов. Поэтому они "способны" искажать сигналы и даже "воспроизводить" информацию трансформировать передаваемое сообщение . Последнее самое нежелательное событие в передаче информации.

Чтобы обеспечить высокую верность и максимальную скорость (э ф фективность ) передачи информации, требуются дополнительные преобразования сигналов и специальные методы их передачи.

К таким преобразованиям относятся кодирование и обратная процедура декодирование информации (и сигналов). Кодирование есть процедура преобразования сообщения в сигнал . При этом преобразования осуществляются по определённым правилам, совокупность которых называется кодом .

Кодирование информации выполняется на передающей стороне, а декодирование на приёмной. Различают помехоустойчивое кодирование и эффективное . Цель помехоустойчивого кодирования построить (сфо р мировать) сигнал, менее подверженный действию помех, придать ему т а кую структуру, чтобы возникшие в процессе передачи ошибки на приёмной стороне можно было бы обнаружить либо исправить . И, тем самым, обеспечить высокую верность передачи.

Цель эффективного кодирования обеспечить максимальную ск о рость передачи информации, так как её ценность во многом определяется, насколько своевременно она получена . Согласно этому требованию закодированное сообщение должно нести требуемое количество информации и, в то же самое время, иметь минимальную длину, чтобы на передачу потребовалось минимум времени.

Передача сигналов (и информации) осуществляется по каналам связи . Канал связи это тракт (путь) независимой передачи сигналов от исто ч ника к соответствующему приёмнику (получателю) информации. Каналы связи образуются техническими средствами каналообразующей аппаратурой и так же, как и линии связи подвержены влиянию помех.

Одной из основных решаемых в СПИ задач является задача создания требуемого числа каналов связи. Эффективность и помехоустойчивость передачи во многом определяется используемыми каналами связи. Под помехоустойчивостью понимают способность системы (сигнала, кода) правильно выполнять свои функции в условиях действия помех .

Обычно одну и ту же систему можно использовать для передачи информации от многих источников к соответствующему числу приёмников (получателей). Поэтому образование требуемого числа каналов с необходимой помехозащищённостью возлагается на устройство связи. При этом в устройстве связи могут выполняться следующие преобразования: модуляция и демодуляция сигналов; усиление передаваемых в линию и принимаемых из л и нии связи сигналов; ограничение по уровню и частотному спектру сигналов и некоторые другие.

В зависимости от области использования (применения) СПИ возникает необходимость в дополнительных преобразованиях таких, как преобразование формы сигналов, их физической природы, нормирование параметров поступающих извне сигналов и сигналов, выдаваемых системой на внешние устройства; временное хранение передаваемых в канал связи и выдаваемых системой сигналов.

Перечисленные преобразования предопределяют функциональный состав передающей и приёмной аппаратуры систем передачи информации (рис.В2).

Как видно по схеме, передача осуществляется в одном направлении слева направо. Устройство ввода и первичного преобразования информации (УВПИ) преобразует поступающие от источников информации сигналы в унифицированные «первичные» сигналы, которые невозможно непосредственно передать на большие расстояния. Обычно, эти унифицированные сигналы представляют собой напряжение постоянного тока с фиксированными значениями по уровню. В блоке УВПИ первичные сигналы сохраняются на время передачи (в буферном запоминающем устройстве), после чего стираются из памяти. Кодирующее устройство (КУ) преобразует первичные сигналы в кодированные сигналы, имеющие определённую структуру и формат, допускающие возможность передачи их (сигналов) на большие расстояния («телесигналы»). Как правило, это устройство является комбинационным, хотя в ряде случаев может быть выполнено и последовательностным (многотактным). Здесь реализуются логические и арифметические операции процедур кодирования.

Основным назначением устройства связи (рис. В2) является создание или организация каналов связи на предоставленной линии связи. Линия связи это материальная среда между передатчиком (Прд) и приёмником (Прм) системы. На рисунке условно показана двухпроводная линия электрической связи. Однако могут использоваться радиолинии и волоконно-оптические линии связи и другие. В зависимости от типа линии в Прд и Прм выполняются различные преобразования сигналов с целью согласования их параметров и характеристик с параметрами и характеристиками линии связи и преобразования, направленные на повышение помехоустойчивости сигналов.

На приёмной стороне принятые из линии связи кодированные сигналы вновь преобразуются декодирующим устройством (ДКУ) в первичные сигналы. При этом в принятых сигналах процедурами декодирования обнаруживаются и могут исправляться ошибки и, тем самым, обеспечивается требуемая верность передачи информации. А выходные преобразователи (ВП) преобразуют эти первичные сигналы в форму и вид (физическую природу), которую могут воспринимать получатели информации.

Следует отметить, что большинство функциональных «узлов» и «блоков», показанных на рис.В2, могут быть выполнены на цифровых микросхемах. Поэтому системы передачи информации, как правило, являются цифровыми.

В1.3. Системы обработки информации

(вычислительные системы)

Перечисленные выше типовые задачи могут быть решены и формализованы математическими и логическими методами. В свою очередь названные методы оперируют простейшими операциями (арифметическими или логическими), выполнением которых над некоторыми «исходными данными» получается новый результат, ранее неизвестный. Эта общность методов решения разнообразных задач по обработке информации позволила создать отдельный класс устройств и систем, целевым назначением которых (первоначально) была автоматизация вычислительных процедур электронные вычислительные машины (ЭВМ). На современном этапе развития вычислительной техники ЭВМ «превратились» в компьютеры, на основе которых строятся современные компьютерные системы обработки и передачи информации. Обобщённая структурная схема некоторой вычислительной системы приведена на рис.В3.

Обрабатываемые данные предварительно через устройство ввода Увв поступают на запоминающее устройство ЗУ , где сохраняются на всё время обработки. В этом же ЗУ хранится и программа обработки поступающей информации.

Программа работы системы так же, как и «данные», хранятся в запоминающем устройстве в виде многоразрядных двоичных чисел, записанных в ячейки ЗУ по определённым адресам (адресам ячеек памяти). Двоичные числа, совокупность которых отображает программу обработки данных, структурированы на определённое число частей, каждая из которых имеет определённое назначение. В простейшем случае имеются следующие части: 1) код операции, которую надо выполнить с двумя двоичными числами, отображающими значения «данных» и называемыми «операндами»; 2) адрес первого операнда; 3) адрес второго операнда. Совокупность этих частей образует «команду».

Работа ЭВМ заключается в последовательном выполнении команд, заданных программой. Координирует работу всех блоков во времени и управляет ими управляющее устройство УУ . А непосредственно логические и арифметические операции (действия) над операндами выполняет арифметико-логическое устройство АЛУ , которое по сигналу от УУ «код операции» каждый раз настраивается на выполнение конкретной операции.

Устройство управления расшифровывает поступившую от ЗУ команду (рис. В3 «очередная команда»), код операции направляет на АЛУ и оно готовится к выполнению соответствующей операции. Затем формирует сигналы выборки из ЗУ операндов (см. сигнал «Адреса данных») и определяет адрес очередной команды, которую следует выполнить на следующем такте работы ЭВМ («Адрес очередной команды»). По сигналам от УУ из ЗУ считываются операнды, и АЛУ выполняет необходимые действия. При этом образуется промежуточный результат («Результат операции»), который также сохраняет ЗУ. В зависимости от результата выполнения операции может появиться необходимость изменения последовательности выполнения команд, либо прекратить обработку данных, либо вывести оператору сообщения об ошибках. Для этой цели с АЛУ на УУ поступает сигнал «Признак результата». Процесс обработки введённых данных (информации) продолжается до тех пор, пока не будет извлечена команда «Конец вычислений», либо оператор по своему усмотрению не остановит процесс обработки данных.

Полученный результат обработки также хранится в ЗУ и может быть выведен через устройство вывода Увыв по окончании процесса обработки либо в ходе процесса, если это предусмотрено программой.

Для «общения» оператора с ЭВМ предусматриваются терминальные устройства ТУ , предназначенные для ввода оператором команд и других сообщений и для вывода оператору «сообщений» со стороны ЭВМ.

На рис.В3 не показаны связи управляющего устройства, обеспечивающие синхронизацию работы всех составных частей ЭВМ. Широкими стрелками отображается возможность параллельной передачи данных (одновременной передачи всех разрядов многоразрядных двоичных чисел).

Практически все показанные на рис.В3 блоки (кроме терминальных устройств) могут быть полностью выполнены только на цифровых интегральных микросхемах (ИМС). В частности, УУ, АЛУ и часть ЗУ (регистровая память СОЗУ) могут быть выполнены в виде одной ИМС большой степени интеграции. Названная совокупность блоков образует микропроцессор центральный процессор ЭВМ, выполненный средствами интегральной технологии на одном кристалле полупроводника.

Устройства ввода и вывода данных, как правило, состоят из буферных запоминающих регистров, служащих для временного хранения, соответственно, вводимых и выводимых данных и для согласования системы с внешними устройствами.

Запоминающее устройство (ЗУ) обычно разделяют на две части: оперативное ЗУ (ОЗУ) и постоянное ЗУ. Первое служит для хранения промежуточных результатов вычислений, его «содержимое» постоянно изменяется в процессе обработки данных. ОЗУ работает в режимах «считывания» и «записи» данных. А второе, постоянное ЗУ (ПЗУ), служит для хранения стандартных подпрограмм и некоторых системных (служебных) подпрограмм, управляющих процессами включения и выключения ЭВМ. Как правило, ПЗУ выполняется на программируемых пользователем ИМС ПЗУ (ППЗУ), либо заранее запрограммированных на заводах-изготовителях ИМС ПЗУ, либо перепрограммируемых пользователем ПЗУ (РеПЗУ). Обычно это энергонезависимые запоминающие устройства, в которых записанная информация не «разрушается» даже при их отключении от источника питания.

В состав АЛУ входят одноимённого названия ИМС, выполняющие логические и арифметические операции с двоичными числами, логические элементы и ряд других функциональных узлов, служащих для сравнения чисел цифровые компараторы, для увеличения быстродействия выполняемых арифметических операций, например «блоки ускоренного переноса» и т.д.

В состав УУ входят таймерные устройства, задающие тактовую частоту работы системы и, в конечном итоге, определяющие её производительность, дешифраторы кодов команд, программируемые логические матрицы, регистры, блоки микропрограммного управления, а также «порты» ввода-вывода.

Все перечисленные функциональные узлы выполняются в виде интегральных цифровых устройств.

Основными проблемами вычислительных систем являются, во-первых, повышение их производительности (быстродействия). И, во-вторых, обеспечение работы систем в реальном «масштабе» времени .

Первая проблема носит общесистемный характер и решается путём применения новой элементной базы и специальных методов обработки информации.

Вторая проблема возникает при использовании вычислительных систем для управления производственными процессами и заключается в том, что скорости протекания производственных и вычислительных процессов должны быть согласованы. Действительно, функционирование вычислительной системы (ВС) происходит в так называемом «машинном» времени, когда за единицу времени принимается некоторый фиксированный и неделимый интервал времени, называемый «тактом работы» ЭВМ или компьютера, тогда как реальные физические процессы, например технологические процессы, протекают в реальном времени, измеряемом в секундах, долях секунды, в часах и т.д. Чтобы применение ЭВМ стало возможным, необходимо скорость обработки информации сделать не менее скорости протекания реальных физических процессов. Решение этой проблемы достигается организацией специальных методов обмена информацией (данными) управляющей ЭВМ с периферийными устройствами и применением специальных, так называемых инте р фейсных схем и устройств . В функции интерфейсных схем входит:

определение адреса внешнего устройства, требующего обмена информацией с процессором либо с запоминающим устройством системы;

формирование сигналов прерывания работы процессора ВС и инициализация перехода к программе обслуживания объекта, запросившего прерывание. Это осуществляется по специальной системе приоритетов ;

реализация очередей на обслуживание внешних устройств;

согласование по параметрам и времени сигналов обмена и т.д.

Благодаря современным достижениям в области интегральной технологии в изготовлении микроэлектронных устройств, созданию микроЭВМ и компьютеров, характеризующихся малыми габаритами, малым потреблением энергии и приемлемой стоимостью, стало возможным их применение в составе систем самого различного назначения. При этом эти системы приобретают новые качества и становятся многофункциональными с возможностью гибкого перехода от одного режима работы к другому путём простого изменения конфигурации систем. В свою очередь, эти достоинства открывают новые перспективы в применении компьютерных систем в самых разнообразных областях человеческой деятельности: в науке, в медицине, в образовании и подготовке кадров и тем более в технике.

Например, телефонная связь традиционно осуществлялась аналоговыми устройствами, когда человеческая речь передавалась (по проводам) сигналами в виде переменных токов звуковых частот. Теперь же наметился интенсивный переход к цифровой телефонной связи, при которой аналоговые сигналы (от микрофона) преобразуются в цифровые, передаваемые на большие расстояния без существенных искажений. На приёмной стороне эти цифровые сигналы вновь преобразуются в аналоговые и доводятся до телефона. Переход к цифровой связи позволяет повысить качество передачи речи, кроме того, телефонную сеть можно использовать для других услуг: охранной сигнализации; пожарной сигнализации; для «конференцсвязи» нескольких абонентов и так далее.

В2. Сравнительная оценка цифровых и аналоговых устройств

микроэлектронной техники

Решая вопрос о построении или проектировании, какого либо устройства, следует предварительно принять решение о направлении проектирования, каким будет устройство? Аналоговым либо дискретным (цифровым)? В свою очередь, это решение можно принять, зная достоинства и недостатки тех и других устройств. Предварительно дадим определения понятиям «аналоговые» и «цифровые» устройства.

Аналоговым называется такое устройство , у которого все сигналы входные, выходные и промежуточные (внутренние) являются непрерывными, описываются непрерывными математическими функциями. Эти сигналы характеризуются бесконечным множеством значений по уровню (состояниям) и непрерывны во времени, хотя диапазон изменения значений непрерывного сигнала ограничен. Поэтому иногда такие устройства называют устро й ствами н е прерывного действия .

Дискретными устройствами или устройствами дискретного действия называют такие, у которых входные, выходные и промежуточные сигналы характеризуются счётным множеством значений по уровню и существованием в определённые интервалы времени. Такие сигналы можно отобразить в той или иной позиционной системе счисления (соответствующими цифрами). Например, в десятичной системе счисления либо двоичной системе счисления. Двоичное представление сигналов нашло наибольшее применение в технике и в формальной логике при исчислении высказываний и при выводе умозаключений из нескольких посылок. Поэтому дискретные устройства называют логическими (по аналогии с формальной двоичной логикой) или цифровыми , принимая во внимание возможность описания их с помощью чисел позиционной системы счисления.

Недостатки технических средств аналоговой техники

Наличие «дрейфа» и «шумов». Дрейф это медленное изменение сигнала, обусловленное дискретной природой явлений, по отношению к заданному его значению. Например, для электрических сигналов дискретную природу протекания электрического тока обуславливают электроны и «дырки», являющиеся носителями электрических зарядов. Шумы это случайные изменения сигнала, вызванные внешними или внутренними факторами, например, температурой, давлением, напряжённостью магнитного поля Земли и т.д.

Методологические трудности в определении понятий «равенство нулю» и «равенства аналоговых сигналов». И как следствие существование проблемы «обеспечения заданной точности (погрешности)» преобразований и передачи сигналов.

Возможность появления неустойчивых режимов работы и существование проблемы «обеспечения устойчивости» работы систем и устройств. Неустойчивый режим характеризуется возникновением в устройстве или системе незатухающих колебаний в изменении некоторых сигналов. В электронике это явление широко используется при построении генераторов импульсов и генераторов гармонических колебаний.

Технические трудности в реализации запоминающих устройств и устройств временной задержки аналоговых сигналов.

Недостаточный уровень интеграции аналоговых элементов и их универсальности.

Сравнительно малая дальность передачи аналоговых сигналов, обусловленная рассеянием энергии в линиях связи.

Сравнительно большое потребление энергии, так как аналоговые элементы работают на линейных участках их переходных характеристик и «потребляют» энергию в начальных (исходных) состояниях.

Достоинства технических средств аналоговой техники

Адекватность отображения физических процессов и закономерностей: и те и другие описываются непрерывными зависимостями. Это позволяет существенно упрощать принципиальные технические решения аналоговых устройств и систем.

Оперативность и простота изменения режимов работы: часто достаточно изменить сопротивление резистора или ёмкость конденсатора, чтобы неустойчивый режим сменился на устойчивый либо обеспечить заданный переходный процесс в устройстве.

Отсутствие необходимости в преобразовании аналоговых величин в дискретные. Эти преобразования сопровождаются погрешностью и определённой тратой времени.

Достоинства технических средств цифровой техники

Возможность программного управления, что увеличивает гибкость изменения структуры и алгоритма функционирования систем, позволяет упростить реализацию адаптивных законов управления.

Простота обеспечения заданной надёжности, точности и помехоустойчивости работы систем.

Простота обеспечения совместимости устройств с устройствами обработки информации в цифровой форме (ЭВМ, компьютерами).

Высокая степень конструктивной и функциональной интеграции, универсальности с возможностью построения систем по типовым проектным решениям. В свою очередь это позволяет сокращать затраты на производство и эксплуатацию систем и устройств.

Возможность проектирования формальными логическими методами, что позволяет сокращать сроки проектирования устройств и даёт возможность изменения функций устройств (и систем на их основе) методами агрегатного построения в процессе эксплуатации.

Недостатки технических средств цифровой техники

Необходимость преобразования аналоговых сигналов в дискретные. Эти преобразования сопровождаются появлением погрешности и задержками во времени.

Относительная сложность изменения режимов работы. Для этого необходимо менять структуру системы либо алгоритм её функционирования.

Сложность процессов анализа функционирования систем, как при проверке правильности их работы, так и при поиске возникающих неисправностей. Цифровые устройства характеризуются большой функциональной сложностью, что требует специальных «диагностических» устройств, которые изучаются в специальной области техники, называемой технической д и агност и кой .

Повышенные требования к культуре производства и к культуре обслуживания технических средств цифровой техники. В свою очередь, это стимулирует необходимость повышения квалификации обслуживающего персонала и требует от него высокой квалификации.

Сравнительный анализ перечисленных достоинств и недостатков даёт вывод в пользу технических средств цифровой техники . Поэтому в настоящее время цифровые устройства широко внедряются, казалось бы, в традиционные области аналоговой техники: телевидение, телефонную связь, в технику звукозаписи, радиотехнику, в системы автоматического управления и регулирования.

1. Основы микроэлектронной техники

1.1. Основные понятия и определения

Микроэлектроника основное направление электроники, которое изучает проблемы конструирования, исследования, создания и применения электронных устройств с высокой степенью функциональной и конструкти в ной интеграции .

Микроэлектронное изделие , реализованное средствами интегральной технологии и выполняющее определённую функцию по преобразованию и обработке сигналов, называется интегральной микросхемой (ИМС) или просто интегральной схемой (ИС).

Микроэлектронное устройство совокупность взаимосвязанных ИС, выполняющая законченную достаточно сложную функцию (либо несколько функций) по обработке и преобразованию сигналов. Микроэлектронное устройство может быть конструктивно оформлено в виде одной микросхемы либо на нескольких ИМС.

Под функциональной интеграцией понимают увеличение числа реализуемых (выполняемых) некоторым устройством функций. При этом устройство рассматривается как единое целое , неделимое. А конструктивная инт е грация это увеличение количества компонентов в устройстве, рассматриваемом как единое целое . Примером микроэлектронного устройства с высокой степенью конструктивной и функциональной интеграции, является микропроцессор (см. выше), который, как правило, выполняется в виде одной «большой» ИМС.

Схемотехника является частью микроэлектроники, предметом которой являются методы построения устройств различного назначения на микр о схемах широкого применения . Предметом же цифровой схемотехники являются методы построения (проектирования) устройств только на цифровых ИМС.

Особенностью цифровой схемотехники является широкое применение для описания процессов функционирования устройств формальных либо формально-естественных языков и основанных на них формализованных методов проектирования . Формальными языками являются булева алгебра (алгебра логики, алгебра Буля) и язык «автоматных» логических функций алгебра состояний и событий . Благодаря использованию формализованных методов, достигается многовариантность в решении прикладных задач, появляется возможность оптимального выбора схемотехнических решений по тем или иным критериям.

Формальные методы характеризуются высоким уровнем абстракции отвлечения, пренебрежения частными свойствами описываемого объекта. Акцентируется внимание только на общих закономерностях во взаимных связях между компонентами объекта его составными частями. К таким “закономерностям”, например, относятся правила арифметических действий в алгебре чисел (правила сложения, вычитания, умножения, деления). При этом отвлекаются от смысла чисел (количество ли это яблок, либо столов и т.д.). Эти правила строго формализованы, формализованы и правила получения сложных арифметических выражений, а также процедуры вычислений по таким выражениям. В таких случаях говорят, формальными являются и си н та к сис и грамматика языка описания.

У формально-естественных языков синтаксис формализован, а грамматика (правила построения сложных выражений) подчиняется грамматике естественного языка, например русского либо английского. Примерами таких языков являются различные табличные языки описания. В частности, теоретической базой описания цифровых устройств является «Теория конечных автоматов» или «Теория релейных устройств и конечных автоматов» .

1.2. Классификация микроэлектронных устройств

Всё многообразие микроэлектронных устройств (МЭУ) можно классифицировать по различным признакам:

по принципу и характеру действия;

по функциональному назначению и выполняемым функциям;

по технологии изготовления;

по области применения;

по конструктивному исполнению и техническим характеристикам и так далее.

Рассмотрим теперь более детально разделение МЭУ по классификационным признакам.

По принципу (характеру) действия все МЭУ подразделяются на аналоговые и цифровые . Выше уже были даны понятия аналоговых и дискретных устройств и, в том числе цифровых. Здесь же отметим, если в дискретных устройствах все сигналы принимают только два условных значения логического нуля (лог.0) и логической единицы (лог.1), то устройства называют логическими . Как правило, все цифровые устройства относятся к логическим устройствам.

В зависимости от выполняемых функций (функционального назначения) различают следующие микроэлектронные устройства:

I. Аналоговые

1.1. Усилительные устройства (усилители).

1.2. Функциональные преобразователи, выполняющие математические операции с аналоговыми сигналами (например, интегрирование, дифференцирование и т.д.).

1.3. Измерительные преобразователи и датчики физических величин.

1.4. Модуляторы и демодуляторы, фильтры, смесители и генераторы гармонических колебаний.

1.5. Запоминающие устройства.

1.6. Стабилизаторы напряжений и токов.

1.7. Интегральные микросхемы специального назначения (например, для обработки радио- и видеосигналов, компараторы, коммутаторы и т.д.).

II. Цифровые МЭУ

2.1. Логические элементы.

2.2. Шифраторы, дешифраторы кодов и кодопреобразователи.

2.3. Запоминающие элементы (триггеры).

2.4. Запоминающие устройства (ОЗУ, ПЗУ, ППЗУ, ПЛМ и др.).

2.5. Арифметико-логические устройства.

2.6. Селекторы, формирователи и генераторы импульсов.

2.7. Счётные устройства (счётчики импульсов).

2.8. Цифровые компараторы, коммутаторы дискретных сигналов.

2.9. Регистры.

2.10. Микросхемы специального назначения (например, таймерные, микропроцессорные комплекты ИС и т.д.).

Приведённая классификация далеко не исчерпывающая, но позволяет сделать вывод, что номенклатура цифровых устройств значительно шире номенклатуры аналоговых МЭУ.

Кроме перечисленных, существуют микросхемы преобразователей уровней сигналов, например триггеры Шмита, у которых входные сигналы являются аналоговыми, а выходные дискретными, двоичными. Такие микросхемы занимают промежуточное положение. Аналогично, микросхемы аналого-цифровых и цифро-аналоговых преобразователей (АЦП и ЦАП), коммутаторы аналоговых сигналов, управляющиеся дискретными сигналами, следует отнести к «промежуточным» МЭУ.

В зависимости от количества реализуемых функций различают одн о функциональные (простые) и многофункциональные (сложные) МЭУ. В многофункциональных устройствах функции могут выполняться одновременно либо последовательно во времени. В зависимости от этого, в первом случае, устройства называют устройствами «параллельного» действия, а во втором случае устройствами последовательного действия или «последовательностными». Если настройка многофункционального устройства на выполнение той или иной функции осуществляется путём коммутации входов (физической перекоммутацией электрических цепей), то такое устройство называют устройством с «жёсткой логикой » работы. А если изменение выполняемых функций производится с помощью дополнительных внешних сигналов (на так называемых управляющих входах), то такие МЭУ следует отнести к «программно-управляемым». Например, ИМС арифметико-логических устройств (АЛУ) могут реализовать арифметические либо логические операции с двумя многоразрядными двоичными числами. А настройка на выполнение арифметических (либо логических) операций осуществляется одним дополнительным внешним сигналом, в зависимости от значения которого будут выполняться желаемые действия. Поэтому АЛУ следует отнести к программно-управляемым МЭУ.

По технологии изготовления все ИМС делятся на:

Полупроводниковые;

Плёночные;

Гибридные.

В полупроводниковых ИС все компоненты и соединения выполнены в объёме и на поверхности кристалла полупроводника. Эти ИС делятся на б и полярные микросхемы (с фиксированной полярностью питающих напряжений) и на униполярные с возможностью смены полярности питающего напряжения. В зависимости от схемотехнического исполнения «внутреннего содержания» биполярные микросхемы делятся на следующие виды:

ТТЛ транзисторно-транзисторной логики;

ТТЛш транзисторно-транзисторной логики с транзисторами и диодами Шотки;

ЭСЛ эмиттерно-связанной логики;

И 2 Л инжекционной логики и другие.

Микросхемы униполярной технологии выполняются на МДП-транзисторах («металл-диэлектрик-полупроводник»), либо на МОП-транзисторах («металл-окисел-полупроводник»), либо на КМОП-транзисторах (комплиментарные «металл - окисел - полупроводник»).

В плёночных ИС все компоненты и связи выполняются только на поверхности кристалла полупроводника. Различают тонкоплёночные (с толщиной слоя менее 1 микрона) и толстоплёночные с толщиной плёнки более микрона. Тонкоплёночные ИС изготавливаются методом термовакуумного осаждения и катодного распыления, а толстоплёночные методом шелкографии с последующим вжиганием присадок.

Гибридные ИС состоят из «простых» и «сложных» компонентов, расположенных на одной подложке. В качестве сложных компонентов обычно используются кристаллы полупроводниковых либо плёночных ИС. К простым относятся дискретные компоненты электронной техники (транзисторы, диоды, конденсаторы, индуктивности и т.д.). Все эти компоненты конструктивно располагаются на одной подложке и на ней также выполняются электрические соединения между ними. Причём одна подложка с расположенными на ней компонентами образуют один «слой» гибридной ИС. Различают однослойные и многослойные гибридные ИС. Многослойная гибридная ИС способна выполнять достаточно сложные функции по обработке сигналов. Такая микросхема равносильна по действию «микроблоку» устройств, либо, если она предназначена для самостоятельного применения, действию «целого» блока.

Кроме того, любые микросхемы оцениваются количественным показ а телем их сложности . В качестве такого показателя используется «степень интеграции » k , равная десятичному логарифму от общего количества N компонентов, размещённых на одном кристалле полупроводника, то есть

k = lq N . (1)

В соответствии с формулой (1) все микросхемы делятся на микросхемы 1-й, 2-й, третьей и так далее степеней интеграции. Степень интеграции лишь косвенно характеризует сложность микросхем, поскольку принимается во внимание только конструктивная интеграция. Фактически же сложность микросхемы зависит и от количества взаимных связей между компонентами.

В инженерной практике используется качественная характеристика сложности микросхем в понятиях «малая», «средняя», «большая» и «сверхбольшая» ИС.

В табл.1.1 приведены сведения о взаимном соответствии качественных и количественных мер сложности ИС по их видам.

Таблица 1.1

Наименование ИС

Технология изготовления

Количество компонентов на кристалле

Степень интеграции k

Малая (МИС)

Цифровая

Биполярная

Униполярная

Аналоговая

Биполярная

Средняя (СИС)

Цифровая

Биполярная

Униполярная

Аналоговая

Биполярная

Униполярная

Большая (БИС)

Цифровая

Биполярная

Униполярная

Аналоговая

Биполярная

Униполярная

Сверхбольшая (СБИС)

Цифровая

Биполярная

Униполярная

Более 10000

Аналоговая

Биполярная

Униполярная

Из анализа табл.1.1 следует, что в сравнении с цифровыми ИС аналоговые микросхемы при одинаковых степенях интеграции имеют в своём составе (на кристалле полупроводника) более чем в три раза, меньшее число компонентов. Это объясняется тем, что активные компоненты (транзисторы) аналоговой микросхемы работают в линейном режиме и рассеивают большее количество энергии. Необходимость отвода тепла, выделяющегося при рассеянии энергии, ограничивает количество компонентов, размещаемых на одном кристалле. У цифровых микросхем активные компоненты работают в ключевом режиме (транзисторы либо заперты, либо открыты и находятся в режиме насыщения). В этом случае рассеиваемая мощность незначительна, и количество выделяемого тепла также незначительно и следовательно число компонентов на кристалле может быть размещено больше. (Размеры кристаллов стандартизованы и ограничены.) При униполярной технологии объём кристалла, занимаемый под полевой транзистор приблизительно в три раза меньше объёма, занимаемого биполярным транзистором (n - p - n или p - n - p типа). Этим объясняется тот факт, что активных компонентов на кристалле стандартных размеров в униполярной микросхеме можно разместить больше.

По конструктивному исполнению в зависимости от функциональной сложности микроэлектронные устройства подразделяются:

на простые микросхемы (ИМС);

на микросборки;

на микроблоки.

ИМС микроэлектронное изделие, изготавливаемое в едином технол о гическом цикле , пригодное для самостоятельного применения или в составе более сложных изделий (в том числе, микросборок и микроблоков). Микросхемы могут быть бескорпусными и иметь индивидуальный корпус, защищающий кристалл от внешних воздействий.

Микросборка микроэлектронное изделие, выполняющее достаточно сложную функцию (функции) и состоящее из электрорадиокомпонентов и микросхем, изготавливаемое с целью миниатюризации радиоэлектронной аппаратуры. По существу гибридные микросхемы являются микросборками. Самой простой микросборкой может быть, например, набор микрорезисторов, выполненных на кристалле полупроводника и оформленных в едином корпусе (как микросхема).

Микроблок также является микроэлектронным изделием, состоит из электрорадиокомпонентов и интегральных схем и выполняет сложную функцию (функции).

Как правило, микросборки и микроблоки изготавливаются в различных технологических циклах, и, может быть, на разных заводах-изготовителях.

В качестве классификационных технических характеристик обычно используются потребляемая мощность (одной микросхемой) и быстроде й ствие .

По потребляемой мощности все ИМС можно разделить на: а ) микр о мощные (менее 10 мВт ); б ) маломощные (не более 100 мВт ); в ) средней мощности (до 500 мВт ) и г ) мощные (более или = 0,5 Вт ).

По быстродействию (максимальным задержкам времени распространения сигналов через ИС) микросхемы делятся условно на: а ) сверхбыстродействующие с граничной частотой f гр переключений свыше 100 МГц ; б ) быстродействующие (f гр от 50 МГц до 100 МГц ); в ) нормального быстродействия (f гр от 10 МГц до 50 МГц ). При этом задержки распространения составляют порядка от единиц наносекунд (10 -9 с .) до 0,1 микросекунды (1s =10 -6 с .).

Цифровые микроэлектронные устройства, в том числе микросхемы и другие устройства дискретного действия , удобно классифицировать по х а рактеру зависимости выходных сигналов от входных. Как это принято в теории конечных автоматов. В соответствии с этим признаком все устройства принято разделять на комбинационные и последовательностные .

В комбинационных устройствах значения выходных сигналов в какой-либо момент времени однозначно определяются значениями входных сигналов в этот же момент времени. Поэтому можно считать, что работа таких устройств не зависит от времени. Их ещё называют устройствами «без памяти », однотактными устройствами или устройствами однотактного действия. В теории конечных автоматов комбинационные устройства называют «примитивными конечными автоматами».

В последовательностных устройствах значения выходных сигналов (выходные сигналы) зависят от значений входных сигналов не только в рассматриваемый момент времени, но и от значений входных сигналов в предыдущие моменты времени. Поэтому такие устройства называют устройствами с «памятью », многотактными устройствами, а в теории конечных автоматов, просто? конечным автоматом (не тривиальным).

При рассмотрении учебного материала, в дальнейшем, за основную примем именно эту классификацию , так как методы построения (синтеза) и процессы функционирования названных устройств существенно различ а ются .

Заканчивая изложение вопросов классификации, отметим, что приведённый перечень классификационных признаков и перечень наименований микроэлектронных изделий (микросхем) далеко не исчерпывающий. В дальнейшем, по мере необходимости, этот перечень мы дополним.

1.3. Логические элементы

Логические элементы относятся к простейшим комбинационным «устройствам», имеющим один выход и один-два входа. Своё название они получили по той причине, что их функционирование полностью можно описать логическими функциями и в частности булевыми функциями.

Как и в формальной логике, все высказывания могут быть истинными либо ложными, так и логические функции могут принимать только два условных значения: логической единицы (лог.1) «истина» и логического нуля (лог.0) «ложь».

При описании работы логических элементов выходным сигналам ставят в однозначное соответствие функции , а входным сигналам аргументы этих функций. Таким образом, и функции, и аргументы функций, а также входные и выходные сигналы логических элементов являются двоичными. Если пренебречь реальным временем перехода логического элемента из одного состояния (состояния лог.1) в другое (состояние лог.0), то ни аргументы и ни функции не будут зависеть от фактора времени переменной времени. Правила получения и преобразования логических выражений рассматривает алгебра логики или булева алгебра.

Подобные документы

    Задачи курса - изучение схемотехнической базы современных компьютеров, компьютерных систем и сетей. Основные поколения развития компьютерной схемотехники. Аналоговые и дискретные элементы. Способы представления цифровой информации, виды кодирования.

    лекция , добавлен 17.02.2011

    Микроэлектроника - самостоятельное научно-техническое, технологическое направление, исторические этапы. Цифровые интегральные микросхемы: логические основы, кодирование сигналов, классификация; разработка, производство, перспективы развития и применения.

    учебное пособие , добавлен 11.11.2010

    Основные преимущества цифровых систем связи по сравнению с аналоговыми. Принципы работы дискретных устройств, особенности их построения. Устройство генератора импульсов, синтез счетчика, мультиплексора и дешифратора. Разработка асинхронного автомата.

    курсовая работа , добавлен 21.11.2012

    Особенности волоконно-оптических систем передачи. Выбор структурной схемы цифровой ВОСП. Разработка оконечной станции системы связи, АИМ-модуляторов. Принципы построения кодирующих и декодирующих устройств. Расчёт основных параметров линейного тракта.

    дипломная работа , добавлен 20.10.2011

    Интегральные микросхемы: сведения, классификация, условно-графическое обозначение, маркировка. Условные обозначения микросхем, основные электрические параметры, базовые логические элементы. Регистры, счетчики, дешифраторы, триггеры, аппараты защиты.

    лекция , добавлен 20.01.2010

    Интегральные микросхемы, сигналы. Такт работы цифрового устройства. Маркировка цифровых микросхем российского производства. Базисы производства цифровых интегральных микросхем. Типы цифровых интегральных микросхем. Схемотехника центрального процессора.

    презентация , добавлен 24.04.2016

    Характеристика и область применения сигналов в системах цифровой обработки. Специализированный процессор цифровой обработки сигналов СПФ СМ: разработчики и история, структура и характеристики, область применения, алгоритмы и программное обеспечение.

    курсовая работа , добавлен 06.12.2010

    Интегральные микросхемы. Подложки толстопленочных микросхем. Толстопленочные проводники и резисторы. Основные свойства резистивных пленок. Удельное сопротивление сплошной толстой пленки. Перенос электрического тока через толстопленочную структуру.

    реферат , добавлен 06.01.2009

    Аппаратные принципы построения устройств микропроцессорной техники и приобретение практических навыков по разработке микропроцессорных систем. Техническая характеристика микропроцессора ATmega и анализ микросхемы памяти. Схема микропроцессорной системы.

    курсовая работа , добавлен 19.11.2011

    Цифровая обработка сигналов и ее использование в системах распознавания речи, дискретные сигналы и методы их преобразования, основы цифровой фильтрации. Реализация систем распознавания речи, гомоморфная обработка речи, интерфейс записи и воспроизведения.

Изучение цифровой схемотехники нужно начинать с теории автоматов. В этой статье можно найти некоторые элементарные вещи, которые помогут не потеряться в дальнейших статьях. Я постарался сделать статью легкочитабельной и уверен, что неподготовленный читатель сможет в ней легко разобраться.


Сигнал - материальный носитель информации, используемый для передачи сообщений по системе связи. Сигнал, в отличие от сообщения, может генерироваться, но его приём не обязателен (сообщение должно быть принято принимающей стороной, иначе оно не является сообщением, а всего лишь сигналом).

В статье рассматривается цифровой дискретный сигнал. Это такой сигнал, который имеет несколько уровней. Очевидно, что двоичный сигнал имеет два уровня - и их принимают за 0 и 1. Когда высокий уровень обозначается единицей, а низкий нулем - такая логика называется позитивной, иначе негативной.

Цифровой сигнал можно представить в виде временной диаграммы.

В природе дискретных сигналов не существует, по этому их заменяют аналоговыми. Аналоговый сигнал не может перейти из 0 в 1 мгновенно, по этому такой сигнал обладает фронтом и срезом .
Если рисовать упрощенно то это выглядит так:

1 - низкий уровень сигнала, 2 - высокий уровень сигнала, 3 - нарастание сигнала (фронт), 4 - спад сигнала (срез)

Сигналы можно преобразовывать. Для этого на практике используются логические элементы, а чтобы это записать формально используются логические функции. Вот основные:

Отрицание - инвертирует сигнал.
На схемах обозначается так:

Логическое ИЛИ (логическое сложение, дизъюнкция)

На схеме:

Логическое И (логическое умножение, конъюнкция)

На схеме:

Последние два могут иметь отрицание на выходе (И-НЕ, ИЛИ-НЕ). Значения их логических функций инвертируются, а на схеме выход рисуется кружочком.

Сводная таблица логических функций двух аргументов выглядит так:

Работа с логическими функциями основывается на законах алгебры логики , основы которых изложены в прикрепленном файле. Так же там есть задания для самоконтроля и контрольные вопросы по теме.

Проектирование логических схем с помощью функций алгебры логики

Логической схемой называется совокупность логических электронных элементов, соединенных между собой таким образом, чтобы выполнялся заданный закон функционирования схемы, иначе говоря, - выполнялась заданная логическая функция.
По зависимости выходного сигнала от входного все электронные логические схемы можно условно разбить на:

Схемы первого рода , т.е. комбинационные схемы , выходной сигнал которых зависит только от состояния входных сигналов в каждый момент времени;

Схемы второго рода или накапливающие схемы (схемы последовательностные ), содержащие накапливающие схемы (элементы с памятью ), выходной сигнал которых зависит как от входных сигналов, так и от состояния схемы в предыдущие моменты времени.

По количеству входов и выходов схемы бывают: с одним входом и одним выходом, с несколькими входами и одним выходом, с одним входом и несколькими выходами, с несколькими входами и выходами.

По способу осуществления синхронизации схемы бывают с внешней синхронизацией (синхронные автоматы), с внутренней синхронизацией (асинхронные автоматы являются их частным случаем).

Практически любой компьютер состоит из комбинации схем первого и второго рода разной сложности. Таким образом, основой любого цифрового автомата, обрабатывающего цифровую информацию, являются электронные элементы двух типов: логические или комбинационные и запоминающие . Логические элементы выполняют простейшие логические операции над цифровой информацией, а запоминающие служат для ее хранения. Как известно, логическая операция состоит в преобразовании по определенным правилам входной цифровой информации в выходную.

Можно считать, что элементарные логические функции являются логическими операторами упомянутых электронных элементов, т.е. схем. Каждая такая схема обозначается определенным графическим символом. (Они были представлены выше - Элементы И, ИЛИ, НЕ, ИЛИ-НЕ, И-НЕ)

В качестве примера ниже представлена схема электрическая функциональная логического преобразователя (комбинационного автомата), реализующего логическую функцию в элементном базисе из логических элементов И, ИЛИ, НЕ.

Для закрепления предлагаю, самостоятельно синтезировать логическую схему, реализующую следующие логические функции:

Сделать это можно к примеру в Electronic workbench.

Вот для примера первое выполненное задание:

Твоё путешествие в мир электроники мы начнем с погружения в цифровую электронику. Во-первых, потому что это верхушка пирамиды электронного мира, во-вторых, базовые понятия цифровой электроники просты и понятны.

Задумывался ли ты о том, какой феноменальный прорыв в науке и технике произошел благодаря электронике и цифровой электронике в частности? Если нет, тогда возьми свой смартфон и внимательно на него посмотри. Такая простая с виду конструкция -- результат огромной работы и феноменальных достижений современной электроники. Создание такой техники стало возможным благодаря простой идее о том, что любую информацию можно представить в виде чисел. Таким образом, независимо от того, с какой информацией работает устройство, глубоко внутри оно занимается обработкой чисел.

Тебе наверняка знакомы римские и арабские цифры. В римской системе числа представляются в виде комбинации букв I, V, X, L, C, D, M, а в арабской с помощью комбинации символов 0, 1, 2, 3, 4, 5, 6, 7, 8, 9. Но существуют и другие формы представления числа. Одна из них -- это двоичная форма. Или, как её чаще называют, двоичная система счисления. В такой системе счисления любое число представляет собой последовательность только из "0" и "1".

Арабские Римские Двоичные
0 - 00
1 I 01
2 II 10
3 III 11

Математики c инженерами хорошо потрудились, и сегодня любая информация может быть представлена в виде комбинации нулей и единиц: сигнал с датчика движения, музыка, видео, фото, температура, и даже вот этот текст, который ты сейчас читаешь, на самом деле в недрах твоего устройства имеет вид последовательности из нулей и единиц.

Независимо от того, с какой информацией работает цифровое устройство, глубоко внутри оно занимается обработкой чисел.

Почему именно "0" и "1", а не "0", "1" и "2", к примеру? На самом деле были вполне успешные попытки создать цифровую технику, которая использует не двоичную, а троичную систему исчисления ("0", "1" и "2"), но двоичная все же победила.

Возможно, победа досталась ей, потому что СССР развалился, а может потому, что "0" и "1" легче представить в виде электрических сигналов. А значит, цифровые устройства на основе двоичной системы исчисления проще и дешевле производить. Подробнее о двоичных числах я расскажу позже.

Структура цифрового устройства

Почти в каждом цифровом устройстве встречаются типовые элементы, из комбинации которых оно состоит. Какие-то элементы совсем простые, какие-то более сложные, а какие-то совсем сложные. В любительской практике чаще всего встречаются: триггеры, таймеры, счетчики, регистры, микроконтроллеры, компараторы и др.

Давай выберем что-нибудь из этого списка и посмотрим, как оно устроено. Пусть это будет микроконтроллер (МК)! Ладно, признаюсь. Микроконтроллер я выбрал неспроста. Дело в том, что именно появление микропроцессоров произвело настоящую революцию в электронике и выдвинуло её развитие на новый уровень.

МК является наиболее многочисленным и популярным видом микропроцессоров в мире. Особенным его делает то, что микроконтроллер представляет собой микро-PC -- целый компьютер в одной микросхеме. Представь себе компьютер размером, например, с копейку. Вот это и есть МК.

Микроконтроллеры используются повсеместно: в современных телевизорах, холодильниках, планшетах, охранных системах. Везде, где требуется чем-то управлять, микроконтроллер может найти своё место. А всё благодаря тому, что, как и любой микропроцессор, МК можно программировать. В итоге один и тот же вид микросхем можно использовать в сотнях различных устройств.

В наше время наибольшей популярностью пользуются, к примеру, микроконтроллеры AVR, PIC, ARM. Каждая из компаний, что выпускает перечисленные виды МК, производит десятки, если не сотни, разновидностей микроконтроллеров, предназначенных под все мыслимые и немыслимые задачи.

Как работает микроконтроллер

Несмотря на всю сложность конструкции настоящего микроконтроллера, рассказать, как он функционирует можно всего одним предложением: "В память микроконтроллера записывается текст программы, МК считывает команды из этой программы и выполняет их", -- вот и всё.

Конечно, МК не может выполнить какие угодно команды. У него есть базовый набор команд, которые он понимает и знает как выполнить. Комбинируя эти команды, можно получить практически любую программу, с помощью которой устройство будет делать именно то, что от него хотят.

В современном мире микропроцессор (МК тоже микропроцессор, но специализированный) может иметь либо очень много базовых команд, либо очень мало. Это такое условное разделение, для которого даже придумали два термина: CISC и RISC. CISC -- это много разных видов команд на все случаи жизни, RISC -- это только наиболее необходимые и часто использующиеся команды, т.е. сокращенный набор команд.

Большинство микроконтроллеров исповедуют RISC. Объясняется это тем, что при использовании сокращенного набора команд микроконтроллеры проще и дешевле для производства, их легче и быстрей осваивают разработчики аппаратуры. Между CISC и RISC много различий, но сейчас принципиально важно запомнить только то, что CISC -- много команд, RISC -- мало команд. Глубже с этими двумя идеями познакомимся как-нибудь в другой раз.

Что происходит, когда включается микроконтроллер?

Итак, давай представим идеальный мир, в котором у тебя есть МК и в его память уже записана программа. Или, как обычно говорят, МК "прошит" (при этом программу называют "прошивкой") и готов к бою.

Что произойдёт, когда ты подашь питание на свою схему с МК? Оказывается, ничего особенного. Там нет вообще никакой магии. Происходить будет следующее:

После подачи питания микроконтроллер пойдёт смотреть, что находится в памяти. При этом он "знает", куда следует смотреть, чтобы найти первую команду своей программы .

Местоположение начала программы устанавливается при производстве МК и никогдане меняется. МК считает первую команду, выполнит её, затем считает вторую команду, выполнит её, затем третью и так до последней. Когда же он считает последнюю команду, то всё начнётся сначала, так как МК выполняет программу по кругу, если ему не сказали остановится. Так вот он и работает.

Но это не мешает писать сложные программы, которые помогают управлять холодильниками, пылесосами, промышленными станками, аудиоплеерами и тысячами других устройств. Ты тоже можешь научиться создавать устройства с МК. Это потребует времени, желания и немножко денег. Но это такие мелочи, правда?

Как устроен типичный МК

Любая микропроцессорная система стоит на трёх китах:

  1. Процессор (АЛУ + устройство управления),
  2. Память (ROM, RAM, FLASH),
  3. Порты ввода-вывода .

Процессор с помощью портов ввода-вывода получает/отправляет данные в виде чисел, производит над ними различные арифметические операции и сохраняет их в память. Общение между процессором, портами и памятью осуществляет по проводам, которые называются шиной (шины делятся на несколько видов по назначению). Это общая идея работы МП-системы. Вот как на картинке ниже.

МК, как я уже писал, тоже микропроцессор. Просто специализированный. Физическая структура микросхем МК разных серий может существенно различаться, но идейно они будут похожи и будут иметь такие, например, блоки как: ПЗУ, ОЗУ, АЛУ, порты ввода/вывода, таймеры, счетчики, регистры.

ПЗУ Постоянная память. Всё, что в неё записано, остаётся в ПЗУ и после того как устройство было отключено от питания.
ОЗУ Временная память. ОЗУ -- это рабочая память МК. В неё помещаются все промежуточные результаты выполнения команд или данные от внешних устройств.
АЛУ Математический мозг микроконтроллера. Именно он складывает, вычитает, умножает, а иногда и делит, сравнивает нолики и единички в процессе выполнения команд программы. Один из важнейших органов МК.
Порты I/O Просто устройства для общения МК с внешним миром. Без них ни во внешюю память записать, ни данные от датчика или клавиатуры получить нельзя.
Таймеры Готовил торт или курицу? Ставил таймер, чтобы он тебя оповестил, когда блюдо будет готово? Вот в МК таймер выполняет схожие функции: отсчитывает интервалы, выдаёт сигнал о срабатывании и т.д.
Счетчики Пригождаются, когда требуется что-либо подсчитать.
Регистры Самое непонятное слово для тех, кто хоть раз пытался освоить Асемблер самостоятельно. А между прочим они своего рода выполняют роль быстрой ОЗУ МК. Каждый регистр представляет собой своего рода ячейку памяти. И в каждом МК их всего несколько десятков.

Современный масштаб развития цифровой электроники настолько огромен, что даже по каждому пункту из этой табилцы можно написать целую книгу, а то и не одну. Я же опишу базовые идеи, которые помогут дальше самостоятельно разобраться более подробно в каждом из устройств.

Мозг микроконтроллера

Микропроцессор/микроконтроллер всегда работает по заложенной в него программе. Программа состоит из последовательности операций, которые МК умеет выполнять. Операции выполняются в ЦПУ -- это мозг микроконтроллера. Именно этот орган умеет производить арифметические и логические операции с числами. Но есть ещё четыре важных операции, которые он умеет делать:

  • чтение из ячейки памяти
  • запись в ячейку памяти
  • чтение из порта В/В
  • запись в порт В/В

Эти операции отвечают за чтение/запись информации в память и во внешние устройства через порты ввода/вывода. И без них любой процессор проверащается в бесполезный хлам.

Технически процессор состоит из АЛУ (калькулятор процессора) и управляющего устройства, которое дерижирует взаимодействием между портами ввода-вывода, памятью и арифметико-логическим устройством (АЛУ).

Память микроконтроллера

Ранее в таблице с типичными устройствами, входящими в МК, я указал два вида памяти: ПЗУ и ОЗУ. Различие между ними заключается в том, что в ПЗУ данные сохраняются между включениями устройства. Но при этом ПЗУ (ROM) довольно медленная память. Поэтому и существует ОЗУ (RAM), которая довольно быстра, но умеет хранить данные только тогда, когда на устройство подано питание. Стоит выключить устройство и все данные оттуда...пшик и нету.

Если у тебя есть ноутбук или персональный компьютер, то тебе знакома например такая ситуация: писал гору текста, забыл сохранить его на жесткий диск, внезапно пропало электричество. Включаешь компьютер, а текста нет. Всё верно. Пока ты его писал, он хранился в ОЗУ. Поэтому текст и пропал с выключением компьютера.

В зарубежном мире ОЗУ и ПЗУ называют RAM и ROM:

  1. RAM (Random Access Memory) -- память со случайны доступом
  2. ROM (Read Only Memory) -- память только для чтения

У нас же их еще называют энергозависимой и энергонезависимой памятью. Что на мой взгляд более точно отражает природу каждого вида памяти.

ПЗУ

Сейчас всё больше получила распространение ПЗУ память типа FLASH (или, по-нашему, ЭСПЗУ). Она позволяет сохранять данные даже тогда, когда устройство выключено. Поэтому в современных МК, например в МК AVR в качестве ПЗУ используются именно FLASH-память.

Раньше микросхемы ПЗУ-памяти были однократно-программируемыми. Поэтому если были записаны программа или данные с ошибками, то такую микросхемы просто выкидывали. Чуть позже появились ПЗУ, которые можно было перезаписывать многократно. Это были чипы с ультрафиолетовым стиранием. Они довольно долго прожили и даже сейчас встречаются в некоторых устройствах из 1990-х...2000-х годов. Например, вот такая ПЗУ родом из СССР.

У них был один существенный минус -- при случайно засветке кристалла (тот, что виден в окошечке) программа могла быть повреждена. А также ПЗУ до сих пор работает медленней, чем ОЗУ.

ОЗУ

Оперативная память в отличие от ПЗУ, ППЗУ и ЭСПЗУ является энергозависимой и при выключении питания устройства все данные в ОЗУ пропадают. Но без неё не обходится ни одно микропроцессорное устройство. Так как в процессе работы требуется где-то хранить результаты вычислений и данные, с которыми работает процессор. ПЗУ для этих целей не подходит из-за своей медлительности.

ПАМЯТЬ ПРОГРАММ И ПАМЯТЬ ДАННЫХ

Помимо разделения на энергозависимую (ОЗУ) и энергонезависимую память в микроконтроллерах есть разделение на память данных и память программ. Это значит, что в МК есть специальная память, которая предназначена только для хранения программы МК. В нынешние времена обычно это FLASH ПЗУ. Именно из этой памяти микроконтроллер считывает команды, которые выполняет.

Отдельно от памяти программ существует память данных, в которую помещаются промежуточные результаты работы и любые другие данные, требующиеся программе. Память программ -- это обычное ОЗУ.

Такое разделение хорошо тем, что никакая ошибка в программе не сможет повредить саму программу. К примеру, когда по ошибке МК попытается записать на место какой-нибудь команды в программе случайное число. Получается что программа надёжно защищена от повреждения. Кстати, у такого разделения есть своё особо название -- "гарвардская архитектура".

В 1930-х годах правительство США поручило Гарвардскому и Принстонскому университетам разработать архитектуру ЭВМ для военно-морской артиллерии. В конце 1930-х годов в Гарвардском университете Говардом Эйкеном была разработана архитектура компьютера Марк I, в дальнейшем называемая по имени этого университета.

Ниже я схематично изобразил гарвардскую архитектуру:

Таким образом программа и данные, с которыми она работает, физически храняться в разных местах. Что касается больших процессорных систем подобных персональному компьютеру, то в них данные и программа во время работы программы хранятся в одном и том же месте.

ИЕРАРХИЯ ПАМЯТИ

КАК УСТРОЕН МОЗГ МИКРОКОНТРОЛЛЕРА

Ты уже значешь, что мозгом МК является ЦПУ -- центральный процессор, который состоит из АЛУ (арифметико-логическое устройство) и устройства управления (УУ). УУ дерижирует всем оркестром из памяти, внешних устройств и АЛУ. Благодаря ему МК может выполнять команды в том порядке в каком мы этого хотим.

АЛУ -- это калькулятор, а УУ говорит АЛУ что, с чем, когда и в какой последовательности вычислять или сравнивать. АЛУ умеет складывать, вычитать, иногда делить и умножать, выпонять логические операции: И, ИЛИ, НЕ (о них будет чуть позже)

Любой компьютер, МК в том числе, умеет сегодня работать только с двоичными числами, составленными из "0" и "1". Именно эта простая идея привела к революции в области электроники и взрывному развитию цифровой техники.

Предположим, что АЛУ надо сложить два числа: 2 и 5. В упрощенном виде это будет выглядеть так:

При этом УУ знает в каком месте памяти взять число "2", в каком число "5" и в какое место памяти поместить результат. УУ знает обо всём этом потому, что оно прочитало об этом в команде из программы, которую в данный момент прочитало в программе. Более подробно про арефмитические операции с двоичными числами и как устроен сумматор АЛУ изнутри я расскажу чуть позже.

Хорошо, скажешь ты, а что если нужно получить эти числа не из программы, а из вне, например, с датчика? Как быть? Вот тут в игру и вступают порты ввода-вывода, с помощью которых МК может принимать и передавать данных на внешние устройства: дисплеи, датчики, моторы, задвижки, принтеры и т.д.

ЛОГИЧЕСКИЕ ОПЕРАЦИИ

Тебе наверняка хорошо знакомо шуточное высказывание про "женскую логику"? Но речь пойдет не о ней, а логике в принципе. Логика оперирует причинно-следственными связями: если солнце взошло, то стало светло. Причина "солнце взошло" вызвала следствие "стало светло". При этом про каждое утверждение мы можем сказать "ИСТИНА" или "ЛОЖЬ".

Например:

  • "Птицы плавают под водой" -- это ложь
  • "Вода мокрая" -- при комнатной температуре это утверждение истинно

Как ты заметил, второе утверждение при определённых условиях может быть как истинным, так и ложным. В нашем компьютере есть только числа и инженеры с математичками придумали обозначать истину "1", а ложь "0". Это дало возможность записывать истинность утверждения в виде двоичных чисел:

  • "Птицы плавают под водой" = 0
  • "Вода мокрая" = 1

А ещё такая запись позволила математикам выполнять с этими утверждениями целые операции -- логические операции. Первым до этого додумался Джордж Буль. По имени которого и названа такая алгебра: "булева алгебра", которая оказалась очень удобной для цифровых машин.

Вторая половина АЛУ -- это логические операции. Они позволяют "сравнивать" утверждения. Базовых логических операций всего несколько штук: И, ИЛИ, НЕ, -- но этого достаточно, так как более сложные могут комбинироваться из этих трёх.

Логическая операция И обозначает одновременность утверждений, т.е. что оба утверждения истинны одновременно. Например утверждение будет истинно только тогда, когда оба более простых утверждения будут истинны. Во всех остальных случаях результат операции логического И будет ложным

Логическая операция ИЛИ будет истинно, если хотя бы одно из участвующих в операции утверждений будет истинно. "Птицы плавают под водой" И "Вода мокрая" истинно, так как истинно утверждение "вода мокрая"

Логическое операция НЕ меняет истинность утверждения на противоположное значение. Это логическое отрицание. Например:

Солнце всходит каждый день = ИСТИНА

НЕ (Солнце всходит каждый день) = НЕ ИСТИНА = ЛОЖЬ

Благодаря логическим операция мы можем сравнивать двоичные числа, а так как наши двоичные числа всегда что-то обозначают, например, какой-нибудь сигнал. То получается, что благодаря булевой алгебре мы можем сравнивать настоящие сигналы. Этим логическая часть АЛУ и занимается.

УСТРОЙСТВО ВВОДА-ВЫВОДА

Наш МК должен общаться с внешним миром. Только тогда он будет представлять из себя полезное устройство. Для этого у МК есть особые устройства, которые называются устройствами ввода-вывода.
Благодаря этим устройствам мы можем посылать в микроконтроллер сигналы от датчиков, клавиатуры и других внешних приборов. А МК после обработки таких сигналов отправит через устройства вывода ответ, с помощью которого можно будет регулировать скорость вращения двигателя или яркость свечения лампы.

Подведу итоги:

  1. Цифровая электроника -- верхушка айсберга электроники
  2. Цифровое устройство знает и понимает только числа
  3. Любая информация: сообщение, текст, видео, звук, -- могут быть закодированы с помощью двоичных чисел
  4. Микроконтроллер -- это микрокомпьютер на одной микросхеме
  5. Любая микропроцессорная система состоит из трёх частей: процессор, память, устройства ввода-вывода
  6. Процессорс состоит из АЛУ и управляющего устройства
  7. АЛУ умеет выполнять арифметические и логические операции с двоичными числами

Оставайся с нами. В следующих статьях я расскажу более подробно как устроена память МК, порты ввода-вывода и АЛУ. А после этого мы пойдём ещё дальше и в итоге дойдём до аналоговой электроники.

p.s.
Нашёл ошибку? Сообщи мне!

/blog/tsifrovaya-elektronika-chto-eto/ В этом рассказе первые шаги в мир электроники делаются с необычного направления. Своё путешествие по электронике ты начинаешь с мира цифровой схемотехники, с микроконтроллеров 2016-11-17 2016-12-26 цифровая электроника, цифровая схемотехника, микроконтроллер, логические элементы

Большой радиолюбитель и конструктор программ

Я рассказывал о логических элементах – «кирпичиках» слагающих фундамент цифровой техники и об их назначениях. В этом посте я расскажу более подробно о применении цифровых микросхем содержащих логические элементы.

Простейшие схемы

Первая схема представляет собой простейший пробник для прозвонки электрических цепей. С помощью данного пробника можно определить надёжность электрического контакта, найти обрыв в цепи, проверить исправность резисторов и полупроводниковых диодов и транзисторов.

Схема пробника для прозвонки электрической цепи.

Опишем его работу. При разомкнутых щупах ХТ на входах логического элемента DD1 относительно общего провода устанавливается высокий логический уровень напряжения. Соответственно на выходе элемента DD1 будет низкий логический уровень, при этом светодиод VD1 не будет гореть. Если щупы замкнуть между собой, то на входе DD1 будет низкий логический уровень, а на выходе – высокий. Светящийся диод сообщит о том, что выходы замкнуты между собой. Таким образом, при подключении щупов к исправной цепи будет загораться светодиод, а если светодиод не горит – значит, в цепи имеется обрыв.

Следующая схема, представленная ниже, представляет собой логический пробник . Предназначенный он для определения логического уровня напряжения в электрических цепях цифровых устройств.


Схема логического пробника.

В исходном состоянии на входах логического элемента DD1 и выходе DD2 устанавливается высокий логический уровень, соответственно светодиод VD1 горит. При включении светодиодов в цепь с высоким логическим уровнем светодиод VD1 продолжает гореть, а когда на входе DD1 появится низкий логический уровень, то светодиод VD1 соответственно погаснет.

Дальнейшее повествование о применении цифровых микросхем не возможно без знания внутреннего устройства цифровых ТТЛ и КМОП микросхем и их передаточных характеристиках .

Внутреннее устройство цифровых микросхем ТТЛ

Все семейства цифровых микросхем, основываются на базовых логических элементах . Для всех микросхем семейства ТТЛ таким элементом является элемент 2И-НЕ , который имеет следующее внутреннее устройство. Ниже показано схема элемента 2И-НЕ и его переходная характеристика


Схема базового элемента ТТЛ 2И-НЕ и его переходная характеристика.

На входе элемента стоит многоэмиттерный транзистор VT1, затем усилительный каскад на транзисторе VT2 и двухтактный выходной каскада на транзисторах VT3, VT4.

Опишем работу логического элемента 2И-НЕ. В исходном состоянии входное напряжение не превышает 0,5 В, а эмиттерный переход транзистора VT1 открыт, данного напряжения не достаточно для перевода коллекторного перехода в открытое состояние, то же относится и к эмиттерным переходам транзисторов VT2, VT4. Поэтому данные транзисторы закрыты, а транзистор VT3 – открыт, напряжением, поступающим с R2. Диод VD3 оказывается открытым и на выходе элемента напряжение составляет примерно 3…4 В (точка А ). Когда начинается увеличиваться напряжение на эмиттерах VT1, то транзистор VT2 начинает открываться, а транзистор VT3 плавно закрываться (участок А – Б ). Дальнейшее увеличение напряжения на входном транзисторе приводит к тому, что транзистор VT2 ещё больше открывается, напряжение на R3 тоже возрастает и открывается транзистор VT4. В результате, эмиттерный переход транзистора VT4 шунтирует резистор R3, и транзистор VT2 резко открывается, а напряжение на выходе элемента уменьшается. В этот момент (участок Б – В ) все транзисторы открыты и находятся в активном режиме. Если продолжать увеличивать входное напряжение, то транзисторы VT2 и VT4 перейдут в режим насыщения (участок В – Г ), а транзистор VT3 закроется и значение выходного напряжения станет равным напряжению насыщения транзистора VT4, а ток будет ограничен резистором R4.

Участок Б – В переходной характеристики можно использовать для обработки аналоговых сигналов , в данном режиме переходная характеристика обладает высокой линейностью и максимальной потребляемой мощностью.

Внутреннее устройство цифровых микросхем КМОП

Так же как и в ТТЛ семействе, КМОП микросхемах базовым элементом является 2И-НЕ , внутреннее устройство которого показано ниже


Схема базового элемента КМОП 2И-НЕ и его переходная характеристика.

В данном логическом элементе работают комплементарные полевые транзисторы . Транзисторы с каналом р-типа (VT1, VT2) подключены к положительному проводнику источника питания, с каналом n-типа (VT3, VT4) соединены последовательно.

При входном напряжении 2 В и менее транзисторы VT1 и VT2 открыты, так как напряжение на участках затвор – исток (при напряжении питания 9 В) составляет не менее 7 В. Напряжение на таких же участках транзисторов VT3 и VT4 оказывается недостаточным для их открывания, поэтому на выходе элемента будет напряжение, почти равное напряжению питания, то есть около 9 В (точка А ). По мере увеличения входного напряжения транзисторы начинают открываться, а VT1 и VT2 закрываться. На участке А – Б этот процесс происходит сравнительно плавно, а на участке Б – В он ускоряется и наиболее линеен. В точке В транзисторы VT1 и VT2 почти полностью закрыты, а VT3 и VT4 открыты. Выходное напряжение в этом случае невелико и при дальнейшем увеличении входного напряжения до уровня источника питания оно стремиться к нулю (точка Г ).

Логический элемент в линейном режиме

Использование логических элементов цифровых микросхем для работы с аналоговыми сигналами возможно лишь в случае, если их режим выведен в линейный или близкий к нему. Так в линейном режиме ТТЛ элемент эквивалентен усилителю к коэффициентом усиления 10 … 15 (примерно 20 дБ), а элемент КМОП – усилителю с коэффициентом усиления 10 … 20 (20 … 26 дБ).


Вывод логического элемента в линейный режим: слева-направо током, напряжением, обратной связью.

Для вывода логического элемента на линейный участок применяют различные способы. Один из них основан на включении на входе элемента ТТЛ резистора R . Этот резистор вызовет ток, который будет протекать через эмиттерный переход входного транзистора элемента ТТЛ. Изменяя сопротивление внешнего резистора, можно изменять напряжение на выходе элемента, то есть изменять положение его рабочей точки на передаточной характеристике. Для элементов ТТЛ сопротивление такого внешнего резистора составляет от 1 кОм до 3 кОм. Однако такой способ не применим для КМОП микросхем , так как они работают без выходных токов (есть токи утечки, но они малы и нестабильны).

Второй способ вывода логического элемента на рабочий режим может быть подача на вход соответствующего напряжения , например с помощью резистивного делителя . Так, для элементов ТТЛ середина линейного участка передаточной характеристики соответствует входное напряжение 1,5…1,8 В , а для КМОП 3…6 В (при напряжении питания 9 В). Для разных логических элементов это напряжение не одинаково, поэтому его подбирают опытным путём. Номиналы входных резисторов выбирают таким образом, что бы входные токи элементов не влияли на напряжение, снимаемое с резистивного делителя.

Третий способ, является наиболее эффективным, для этого создают отрицательную обратную связь (ООС) по постоянному току между входом и выходом элемента, благодаря чему рабочая точка автоматически поддерживается на требуемом участке передаточной характеристики и не требуется тщательного подбора внешних резисторов. Этот способ реализуется для логических элементов с инверсией входного сигнала: НЕ, И-НЕ, ИЛИ-НЕ.

Сопротивление резистора в цепи ООС выбирают исходя из обеспечения элементу необходимого входного тока. Для элементов КМОП оно составляет от нескольких килоом до десятков мегаом , а для ТТЛ – от десятков Ом до 1 кОм . Но применение ООС снижает коэффициент усиления элемента.

Усилители на логических элементах

Для использования логических элементов в качестве усилителей сигналов необходимо вывести рабочую точку на линейный участок передаточной характеристики. Основные характеристики таких усилителей приведены в таблице ниже.

Серия Схема
вывода в
линейный
режим
К УС,
дБ
F max ,
МГц
Р потр
мВт
U вых,
В
R вх,
кОм
R вых,
кОм
R1,
кОм
R2,
кОм
К155 OOC 18 40 20 1,2 0,6 0,05 0,68 0,68
Ток 21 0,8 1,9
К176 ООС 25 5,5 5 … 20 1,5 0,4 0,05 7,5 5,1
Ток 17 3 … 4 5,0 3,5 6 6,2 4
561 OOC 25 1000 7 1000 1000

Схема простейшего усилителя на элементе ТТЛ приведена ниже. Регулировка усилителя сводится к установке подстроечным резистором R1рабочей точки элемента на середине линейного участка передаточной характеристики.


Простейший усилитель на ТТЛ элементе

Недостатком простых усилителей является невысокое входное сопротивление , что ограничивает область их применения. К тому же коэффициент усиления небольшой. Устраняется данный недостаток использованием совместно с транзисторами. Коэффициент усиления повышается включением последовательно нескольких каскадов. Кроме того, цифровая микросхема содержит несколько идентичных элементов, это позволяет создавать многоканальные усилители. Примером может служить схема показанная ниже. Основные характеристики усилителя: коэффициент усиления – 50; выходное сопротивление 50 Ом, входное сопротивление 5 кОм, верхняя граничная частота 40 МГц.


Схема усилителя с транзистором на входе

Элементы КМОП также можно использовать для усилителей, схема одного из ни приведена ниже. Общий недостаток усилителей на элементах КМОП – высокое выходное сопротивление . Устранить его можно установкой на выходе логического элемента эмиттерного повторителя на транзисторе и включения его в цепь ООС.


Схемы усилителей на элементах КМОП.

Пороговые устройства на логических элементах

Пороговые устройства , называемые компараторами, предназначены для преобразования аналогового сигнала в цифровую информацию. Простейшим пороговым устройством является триггер Шмитта, который описан в этом . Кроме формирования импульсов и восстановлении цифровых сигналов, пороговые устройства применяют в аналогово – цифровых преобразователях, генераторах импульсов различной формы.


Схема порогового устройстван на логических элементах.

По большёму счёту логический элемент является сам пороговым устройствам, однако его передаточная характеристика не совсем линейна. Для повышения линейности передаточной характеристики логического элемента, его необходимо охватить положительной обратной связью (ПОС) по постоянному току через резистор R2. В таком случае он превращается в своеобразный триггер Шмитта с возможностью регулирования пороговых напряжений. Ширина петли гистерезиса (разность между пороговыми напряжениями) зависит от соотношения номиналов резисторов R1 и R2. От этих же резисторов зависит и чувствительность. При увеличении R2 и уменьшении R1 чувствительность повышается, а ширина петли гистерезиса уменьшается. Для микросхем ТТЛ сопротивление R1 = 0,1 … 2 кОм, а R2 = 2 … 10 кОм. Пороговые устройства на КМОП элементах отличаются высокой экономичностью, а недостатком является низкая чувствительность. Для КМОП микросхем R1 – несколько десятков килоом, а R2 – несколько сотен килоом.

Генераторы на логических элементах

Цифровые микросхемы нашли широкое применение в схемах различных генераторов с частотами от долей герца до десятков мегагерц и самой различной формой импульса. Вообще генераторы представляют собой усилительный каскад или несколько, который охвачен частотно-зависимой обратной связью . В качестве таких цепей используют RC-, LC-, RLC-цепи, а также пьезокерамические и кварцевые резонаторы.

Ниже показана схема генератора с RC частотно-зависимой цепью . Работа данного генератора связана с процессами зарядки-разрядки конденсатора С1 через резистор R1.


Схема RC-генератора

В данной схеме генератора через резистор R1 осуществляется ООС, которая выводит логический элемент в линейный режим, а через конденсатор С1 осуществляется частотно-зависимая ПОС. В таком генераторе используются как ТТЛ-элементы, так и КМОП. Сопротивление резистора R1 выбирается также как и для усилительного каскада с ООС, а емкость конденсатора – в зависимости от требуемой частоты колебаний. Частоту генерации можно определить по приближенной формуле

F \approx \frac{0,7}{RC}

При работе такой генератор вырабатывает прямоугольные импульсы со скважностью примерно равной 2. Максимальная частота генерации ограниченна величиной задержки переключения логических элементов, так для КМОП микросхем максимальная частота составляет 2 … 4 МГц , а для ТТЛ – несколько десятков МГц .

С помощью цифровых микросхем можно также получить генератор синусоидального сигнала , для этого в качестве частотно-задающей цепи необходимо использовать LC-контур . Схема такого генератора приведена ниже.


Схема LC-генератора

В качестве частотно-зависимой связи применяются как последовательный так и параллельный колебательный контур , но в любом случае частота колебаний будет соответствовать формуле Томпсона

F=\frac{1}{2 \pi \sqrt{LC}}

Сопротивление резистора R1 выбирается также как и для усилительного каскада .

Недостатком вышеописанных генераторов является невысокая стабильность генерируемой частоты. Для её повышения применяются пьезокерамические и кварцевые резонаторы, включая их в цепи обратной связи вместо конденсатора или колебательного контура.


Схема генератора с кварцевой стабилизацией частоты

Теория это хорошо, но без практического применения это просто слова.